当前位置:蚂蚁文档网 > 述职报告 > QAM通信系统的VHDL设计与仿真

QAM通信系统的VHDL设计与仿真

时间:2022-05-13 10:50:06 浏览次数:

摘要:本文对16QAM调制系统的VHDL设计与仿真进行了讨论和研究。首先对16QAM调制原理进行了阐述,建立了16QAM调制系统的数学模型。然后通过分析提出了基于FPGA的16QAM调制系统的设计方案。最后编写VHDL语言代码实现了算法仿真。

关键词:16QAMFPGAVHDL语言

1引言

随着通信技术的发展,数据传输率要求越来越高,频谱资源却是有限的。为了解决这个矛盾,就必须提高频谱的利用率,使在更窄的频段内能有更高的数据传输率。QAM(正交幅度调制)是一种频谱利用率较高的带通调制方式,它对载波的振幅和相位同时进行调制。与其他调制技术相比,QAM的单位码元能携带更多的信息,具有充分利用带宽,抗噪声能力强等优点。在各种通信系统中有着广泛的应用,是数字微波通信、卫星通信、有线电视网数字视频广播等的主要调制方式,在短波电台、传呼机、对讲机中也有一定的应用。

QAM调制方式有效缓解了传输网络的带宽矛盾。一般数字调制方式下,通常一个码元携带lbit的信息,而QAM调制的调制信号幅度和相位都携带信息,对应MQAM中随M值的增大,所携带的信息量也随着增加,例如16QAM中一个码元携带4bit的信息,64QAM中一个码元携带6bit的信息,MQAM中一个码元携带Nbit( )的信息,大大提高了信道的频谱利用率。因此,QAM调制方式广泛地应用于传输领域。

现代电子技术设计很多情况下采用大规模集成电路。硬件描述语言VHDL是进行大规模系统设计的有效工具之一。用VHDL语言编程仿真数字调制,同时在FPGA芯片上实现,具有可编程性、实现方案容易修改、便于调试、集成度高可靠性好和易于开发等许多有点。本文主要介绍了用VHDL语言实现全数字正交幅度调制16QAM调制器的思想和方法,作为全数字调制系统的实现,具有其可行性和先进性。并且,根据数字实现的特点,修改了一般的QAM实现方法,使其实现较为简单。

2 QAM调制技术

2.1 QAM调制的基本原理

QAM(正交幅度调制)是用两个独立的基带波形对两个相互正交的同频载波进行抑制载波的双边带调制,利用这种已调信号在同一带宽内频谱正交的性质来实现两路并行的数字信息传输。

16QAM已调信号可以表示为:

由公式(2)可以看出,16QAM也可以看为联合控制正弦载波的幅度及相位的数字调制。

16QAM信号的产生有两种基本方法:正交调幅法是用两路正交的四电平振幅键控信号叠加而成;四相叠加法是用两路独立的四相移相键控信号叠加而成。产生16QAM调制信号原理框图如图1所示。在图1中,输入二进制序列 ,经并串变换后成为速率减半的双比特并行码元,此双比特并行码元在时间上是对齐的。在同相及正交支路又将速率为 的每 个比特码元变换为相应的4电平,形成4进制幅度序列,再经成形滤波限带后,得到 和 的4电平的PAM基带信号(数字期望为0),然后将 和 分别对正交载波进行4进制ASK调制,两者之和即为矩形星座的16QAM信号。

2.2 QAM映射的实现方法

16QAM映射的实现方框图如图2所示

一般采用相干检测的方式进行解调,需要一个参考载波信号,这个信号由载波恢复电路产生。16QAM信号存在4相相位模糊问题,这里使用2相差分编码克服4相相位模糊。对表示信号矢量所处象限的两个比特进行差分编码,其他两个比特用来规定每个象限中信号矢量的配置,并使这种配置呈现出90度旋转对称性。二相差分编码公式是:

式中, 表示逻辑“异或”, 表示待编码数据, 表示差分编码后的数据。

对多电平信号进行检测并恢复成二进制码时,格雷码电平逻辑比自然码电平逻辑具有更好的误码性能。所以本文16QAM映射采用了格雷编码技术。

316QAM的Matlab仿真

根据16QAM的调制解调原理,利用Matlab中的Simulink平台设计的仿真电路如图3所示,星座图为方型(如图4所示)。在本仿真图中,图4为16QAM调制后的星座图,图5为调制信号经过高斯信道后,信号加入噪声的星座图。该电路图模块包括随机信号发生器、16QAM调制器、高斯信道、16QAM解调器、误码率计算等模块。

4 16QAM 的FPGA设计与仿真

4.116QAM 的FPGA芯片的总体设计

4.1.116QAM调制的设计要求

16QAM的特征,由其星座图可以总结为以下几点:

(1)16个信号点共有3种幅值,若设最小的4个信号点的幅值为 ,则中间的8个信号点的幅值为 ,最大的4个信号点幅值为 。

(2)16个信号点共有12种相位,本文采用格雷编码,映射关系如表1。

3.1.216QAM调制的总体设计方案

16QAM调制系统的实现方法有很多,有四相叠加法和正交调制法根据3.1.1节中对16QAM信号星座图的分析,可以得到16QAM调制器设计方案(如图6所示),本文中的设计方案是由正交调制法变换而来的。

4.216QAM调制器的VHDL语言设计与实现

16QAM调制器主要由以下几部分组成:时钟、信号源、数据分离器、数据选择器、数控器及查找表、叠加器、D/A转换器等,我们采用自顶向下的设计方法,其顶层设计图如图6所示。其中,CNT_CLK为时钟输入,74393实现32分频,提供系统时钟,M_GENERAToR为m序列发生器产生信号源,DIV_CLK_2为2分频器,fenli为数据分离器,lock、d为锁存器,数控器及查找表叠加器等都在compose模块中实现。

4.3仿真结果与分析

根据图6的顶层设计图,分别对各模块进行VHDL语言编程、编译、综合,取时钟周期50ns,最后得到如图8所示的16QAM调制仿真波形图。

从仿真波形图中可以看出,在时钟信号(周期为50ns)CNT_CLK的作用下,通过计数器74393进32分频,图中mout1为32分频后的时钟信号,然后送给伪随机序列发生器,产生1个m序列(mout)(01100110010110),途中mout是m序列信号,m序列作为基带信号经过16QAM调制,从输出端Q[7.. 0]输出16QAM调制后的数字信号,可达到0.5Mbps的信息速率。值得注意的是仿真时信号m必须从第32个时钟开始取低电平,这是由于程序中使用了74393进行了32分频。在仿真过程中会有一定的时钟周期延时,但不影响最终的结果。经过计算分析,仿真结果与实际理论计算结果基本一致。

5结语

本文详细论述了16QAM调制系统的设计。应用VHDL硬件设计语言进行了设计、综合、代码编写与仿真,并得到仿真结果。通过对仿真的分析,和相应理论的计算结果相比较,验证本设计是正确的,通过试验总结得到本设计适合的时钟频率为20MHZ,得到较为满意的波形。本文运用VHDL语言仿真数字16QAM调制,同时在FPGA 芯片上实现,具有可编程性、实现方案容易修改、便于调试、集成度高、可靠性好和易于开发等许多优点。

参考文献

[1] 牛忠霞.现代通信系统[M].北京:国防工业出版社,2003.

[2] 李建东,郭梯云,邬国阳.移动通信(第四版)[M].西安:西安电子科技大学出版社,2006.

[3] 刘宝琴.Altera可编程逻辑器件及其应用[M].北京:清华大学出版杜,1995.

[4] 于风云,张平.QAM调制与解调的全数字实现[J].现代电子技术,2005,(3)53~55.

[5] 张辉,曹丽娜.现代通信原理与技术[M].西安:西安电子科技大学出版社,2002.

[6] 樊昌信,詹道雍,徐炳祥等.通信原理(第五版)[M].北京:国防工业出版社,1995.

[7] 褚振勇,翁木云.FPGA设计及应用[M].西安:西安电子科技大学出版社,2002.

[8] Chris Dick.FPGA在高速数字信号处理系统中的应用[J].电子产品世界,2001.

[9] 陶为戈,张娟,朱昳华.基于FPGA的QAM调制器的设计[J].江苏技术师范学院学报,2007,13(2):35~39.

[10] 王彦.基于FPGA的工程设计与应用[M].西安:西安电子科技大学出版社,2007.

推荐访问:仿真 通信系统 设计 QAM VHDL

猜你喜欢